基于Verilog的数字钟设计.doc

摘要: EDA 论文题目 学院 专业班级 学生姓名 指导教师基于 Verilog 的数字钟设计 通信与电子工程学院 通信 017 班 大彬哥 大力会2013 年 6 月 12 日EDA 设计基础实验课程论文摘要数字时钟广泛用于各种场合,比如各种比赛的定时计数等,等都需要用到数字时钟。 但是大多数的这些场合都需要很精确的定时计数,而不是一般的定时计数器,因此本设 计就是为了这个原因而设计的设计的高精度的数字...
预览经过压缩,下载原文更清晰

本文共 27 页,可试读 10

还有 5 页未读  继续阅读
立刻下载

版权声明:文档为强力文库会员投稿,如对版权有争议请立刻联系我们处理!

微信号

微信号